当前位置:首页 > Linux > 正文内容

在Linux下联合ISE和第三方仿真工具进行仿真 ModelSim IUS VCS

chanra1n1年前 (2023-01-10)Linux2820

参考自Xilinx官方手册

image.png

image.png

 - How do I compile Xilinx Simulation libraries for ModelSim Simulator ?
   ANS: You can compile the libraries for MTI-SE, MTI-PE edition using
        the -s mti_se and -s mti_pe options
   Example:-
      compxlib -s mti_se -arch all -lib all -l all
      compxlib -s mti_pe -arch all -lib all -l all

 - How do I compile Xilinx Simulation libraries for NCSIM Simulator ?
   ANS: You can compile the libraries for NCSIM using -s ncsim option
   Example:-
      compxlib -s ncsim -arch all -lib all -l vhdl
      compxlib -s ncsim -arch all -lib all -l verilog

 - How do I compile Xilinx Simulation libraries for VCS MX Simulator ?
   ANS: You can compile the libraries for VCS MX using -s vcs_mx option
   Example:-
      compxlib -s vcs_mx -arch all -lib all -l vhdl
      compxlib -s vcs_mx -arch all -lib all -l verilog

 - How do I compile Xilinx Simulation libraries for VCS MXi Simulator ?
   ANS: You can compile the libraries for VCS MXi using -s vcs_mxi option
   Example:-
      compxlib -s vcs_mxi -arch all -lib all -l vhdl
      compxlib -s vcs_mxi -arch all -lib all -l verilog

 - How do I compile Xilinx Cores ?
   ANS: You can compile the Xilinx Cores using the -lib xilinxcorelib option.
   Example:-
      compxlib -s mti_pe -arch virtex2 -lib xilinxcorelib -l verilog
      compxlib -s mti_pe -arch virtex2 -lib xilinxcorelib -l vhdl

在ISE安装目录的/opt/xilinx/14.7/ISE_DS/ISE/bin/lin64下运行命令:

./compxlib -s mti_se -arch all -l all -dir /usr/local/eda_tools/xilinx_lib/ise_12_3_modelsim

其中后面的路径是编译后的库放在的路径,运行后编译modelsim使用的库


如果使用的是Candense的IUS或者IES等ncsim的,就这样

./compxlib -s ncsim -arch all -l all -dir /usr/local/eda_tools/xilinx_lib/ise_12_3_ncsim

image.png

image.png

如果你使用的是其他工具,例如VCS

./compxlib -s vcs_mx -arch all -l all -dir /usr/local/eda_tools/xilinx_lib/ise_12_3_vcs_mx




扫描二维码推送至手机访问。

版权声明:本文由我的FPGA发布,如需转载请注明出处。

本文链接:https://www.myfpga.cn/index.php/post/295.html

分享给朋友:

“在Linux下联合ISE和第三方仿真工具进行仿真 ModelSim IUS VCS” 的相关文章

CentOS安装Transmission离线下载

CentOS安装Transmission离线下载

#请直接复制代码 yum install wget vim screen -y && screen -dmS Transmission  yum groupinstall...

CentOS7 安装Caddy、Aria2、AriaNg实现离线下载

CentOS7 安装Caddy、Aria2、AriaNg实现离线下载

#CentOS执行以下命令yum -y install wget unzip curl wget https://github.com/helloxz/ccaa/archive/master.zip unzip master....

Ubuntu或Debian 安装Kali工具集

Ubuntu或Debian 安装Kali工具集

sudo su git clone https://github.com/LionSec/katoolin.git && cp katoolin/katoolin.py /usr/bin/katoolin ch...

iKuai-小白-1.3.4 16M固件

iKuai-小白-1.3.4 16M固件

iKuai-小白-1.3.4 16M编辑器备份固件.bin...

小米路由器MINI刷爱快固件

小米路由器MINI刷爱快固件

uboot-xiaomi-mini-115200.binIK-MT7620AV4-H1S.bin...

MW788刷NR285G固件

MW788刷NR285G固件

netcore(NR285G).zip...