当前位置:首页 > FPGA > 正文内容

单比特和多比特的信号处理

浩雨2年前 (2022-08-18)FPGA2920

信号跨时钟域传输时,两个时钟的上升沿相位差没有固定关系,所以采样时钟很容易出现建立保持时间违例而采到亚稳态。


使用两级同步器处理:

两级同步器能降低亚稳态发生的概率只是使信号变为稳态再往下传输,保证安全但并不保证正确。

image.png

如上图,A信号建立保持时间,导致B为亚稳态,但是由于有F3的存在,使其有足够的时间恢复稳态,所以C信号为稳态,对下游是安全的。


单比特数据CDC处理方法:

1、单比特CDC -- 慢到快

跨时钟信号的宽度 > 采样时钟的两个周期 -> 两级同步

【直接使用两级同步即可】

跨时钟信号的宽度 < 采样时钟的两个周期 -> 先脉冲扩展,再两级同步

【先脉冲扩展,把脉冲信号的宽度扩展为 大于 采样时钟的两个周期,再使用两级同步】

2、单比特CDC -- 快到慢

这种情况下,跨时钟信号的宽度(最小为快时钟的一个周期)肯定 小于 采样时钟(慢)的两个周期

跨时钟信号的宽度 < 采样时钟的两个周期 -> 先脉冲扩展,再两级同步

3、快慢不确定

握手处理:

A时钟域向B时钟域发送req信号,保持为高
B时钟域对req打两拍采样到1,再返回ack信号
A时钟域对ack打两拍采样到1,拉低req

image.png


多比特跨时钟域

多比特跨时钟域打两拍存在的问题:两个信号同时变化有延迟,导致采样时钟采样错误,本来应该是同时拉高,但是跨过来之后错开了

image.png

多比特数据CDC处理方法:

1、多比特信号合并为单比特信号

如果需要跨时钟域的信号存在逻辑关系,可以合并为单比特信号再跨时钟域传输

2、格雷码

把多比特信号转为格雷码再进行跨时钟域传输。保证同一时刻只有1bit变化

二进制码转为格雷码:二进制码右移一位,和原值异或

assign gray = (bin << 1) ^ bin

格雷码转为二进制码:bin[i] = 格雷码右移i位做 位异或

bin[i] = ^(gray >> i)

3、使用单比特握手信号

使用握手信号req和ack
A时钟域和B时钟域握手,握手期间保证传输的多bit数据稳定不变(稳定的数据可以随便跨时钟域)

4、使用异步FIFO

数据通过FIFO传输
读写指针(格雷码)跨时钟域传输


参考自:https://blog.csdn.net/m0_49597336/article/details/120095977

扫描二维码推送至手机访问。

版权声明:本文由我的FPGA发布,如需转载请注明出处。

本文链接:https://www.myfpga.cn/index.php/post/263.html

分享给朋友:

“单比特和多比特的信号处理” 的相关文章

Xilinx_ISE_DS_Win_14.7_1015_1 安装教程(Xilinx High Speed Cable USB驱动安装)

Xilinx_ISE_DS_Win_14.7_1015_1 安装教程(Xilinx High Speed Cable USB驱动安装)

首先下载Xilinx ISE软件的安装包,以下是百度云链接,6.12G,放着一晚上就下载完了链接:https://pan.baidu.com/s/13cUoFZgbld0X4ikCLsVFNQ 提取码:53ro更建议使用win7来安装这个,win8 win10 ...

Intel FPGA初级考试模拟试题 四套含答案

Intel FPGA初级考试模拟试题 四套含答案

*1.下列对异步信号进行同步的描述错误的是(使用锁存器)。采用保持寄存器加握手信号的方法特殊的具体应用电路结构,根据应用的不同而不同使用锁存器异步 FIFO *2.FPGA 的可编程是主要基于什么结构(查找表(LUT))。查找表(LUT)ROM 可编程PAL 可编程与或阵列可编程解析:FP...

基础实验十三,DS18B20温度传感器

基础实验十三,DS18B20温度传感器

//==========================================================================// Author     : ChanRa1n// Description: Training for Intel FPGA/...

Xilinx FIFO和ILA学习

Xilinx FIFO和ILA学习

`timescale 1ns / 1ps//-------------------------------------------------------//Filename       ﹕ FIFO_TOP.v//Author      ...