当前位置:首页 > Linux > 正文内容

(原创)使用基于WSL2的Ubuntu安装EDA工具 Synopsys2018 Leda PT VCS Verdi DVE FM SCL

chanra1n2年前 (2022-10-03)Linux3305

首先运行以下命令

#!/bin/bash
echo "本脚本仅支持root用户使用!"
echo "请在EDA安装包所在文件夹运行本脚本!将在5秒后自动运行,如果不正确,请按Ctrl+C键退出!"
sleep 5s
echo "开始清理缓存..."
rm -rf /tmp/install_eda.log
echo "开始安装依赖..."
sed -i "s/archive.ubuntu.com/mirrors.aliyun.com/g" /etc/apt/sources.list
echo 'Acquire::ForceIPv4 "true";' >> /etc/apt/apt.conf.d/1000-force-ipv6-transport
sudo apt-get clean >> /tmp/install_eda.log
sudo apt-get update  >> /tmp/install_eda.log
sudo apt-get install vim wget libxss1 libxft2 libstdc++6 lib32stdc++6 csh lsb-core libsm6 libxtst6 libjpeg62-dev libxt6 libxmu6 libnuma1 libgl1-mesa-glx -y >> /tmp/install_eda.log
sudo apt-get install -y gconf-service libasound2 libatk1.0-0 libc6 libcairo2 libcups2 libdbus-1-3 libexpat1 libfontconfig1 libgcc1 libgconf-2-4 libgdk-pixbuf2.0-0 libglib2.0-0 libgtk-3-0 libnspr4 libpango-1.0-0 libpangocairo-1.0-0 libstdc++6 libx11-6 libx11-xcb1 libxcb1 libxcomposite1 libxcursor1 libxdamage1 libxext6 libxfixes3 libxi6 libxrandr2 libxrender1 libxss1 libxtst6 ca-certificates fonts-liberation libappindicator1 libnss3 lsb-release xdg-utils  >> /tmp/install_eda.log
sudo apt-get install libpulse-dev unrar -y  >> /tmp/install_eda.log
sudo apt-get install gnome-tweaks -y  >> /tmp/install_eda.log
sudo apt install gedit -y  >> /tmp/install_eda.log
echo "开始安装SSH工具..."
sudo apt install openssh-server -y  >> /tmp/install_eda.log
sed -i "s/without-password/yes/g" /etc/ssh/sshd_config
echo "PermitRootLogin yes" >> /etc/ssh/sshd_config
sudo /etc/init.d/ssh restart  >> /tmp/install_eda.log
echo "开始安装EDA工具..."
unrar x ./synopsysinstaller_v5.0.rar  >> /tmp/install_eda.log
cd synopsysinstaller_v5.0/
sudo ./SynopsysInstaller_v5.0.run -dir /usr/local/eda_tools  >> /tmp/install_eda.log
sudo sh /usr/local/eda_tools/setup.sh -install_as_root  >> /tmp/install_eda.log

注意运行前请打开Mobaxterm,并且在Ubuntu内export正确的DISPLAY地址。

image.png

image.png

image.png

image.png

image.png

image.png

image.png

image.png

image.png

image.png

然后安装其他的工具,安装过程类似。为了省事,我把所有的安装包放到了一起。

image.png

运行

sudo sh /usr/local/eda_tools/setup.sh -install_as_root

image.png

image.png

image.png

image.png

image.png

image.png

image.png

image.png

如果有报错,可以不用管,基本上就是doc文件没有导入什么的,问题不大。

image.png

然后参考使用Docker-Ubuntu14安装Synopsys2018工具 Leda PT VCS Verdi DVE FM SCL 爱快Docker EDA工具 - 我的FPGA (myfpga.cn)进行激活即可


这里分享一下我的Profile文件

export VCS_ARCH_OVERRIDE=linux
export SynopsysRoot=/usr/synopsys
export LM_LICENSE_FILE=$PATH:$SynopsysRoot/Synopsys.dat
export MGLS_LICENSE_FILE=/tools/ModelSim/LICENSE.TXT
export SNPSLMD_LICENSE_FILE=27000@DESKTOP-RJT3VOC
export SCL_HOME=$PATH:$SynopsysRoot/scl/2018.06
export FM_HOME=$PATH:$SynopsysRoot/fm/O-2018.06-SP1
export VCS_HOME=$PATH:$SynopsysRoot/vcs/O-2018.09-SP2
export DVE_HOME=$PATH:$SynopsysRoot/vcs/O-2018.09-SP2/gui/dve
export LD_LIBRARY_PATH=$SynopsysList/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/linux64/
export VERDI_HOME=$SynopsysList/verdi/Verdi_O-2018.09-SP2
export SPYGLASS_HOME=/usr/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME
export SYNOPSYS_LC_ROOT=/usr/synopsys/lc/O-2018.06-SP1

#export PATH=$PATH:/usr/synopsys/scl/2018.06/linux64/bin
#export PATH=$PATH:/usr/synopsys/leda/I-2014.03-SP1
#export PATH=$PATH:/usr/synopsys/fm/O-2018.06-SP1
#export PATH=$PATH:/usr/synopsys/vcs/O-2018.09-SP2/linux64/bin
#export PATH=$PATH:/usr/synopsys/vcs/O-2018.09-SP2/gui/dve/bin
#export PATH=$PATH:/usr/synopsys/vcs/O-2018.09-SP2/bin
#export PATH=$PATH:$SPYGLASS_HOME/bin
export PATH="/usr/local/sbin:/usr/local/bin:/sbin:/bin:/usr/sbin:/usr/bin:/root/bin:"$PATH

alias lmg_scl='cd /usr/synopsys/scl/2018.06/linux64/bin && LD_PRELOAD=./snpslmd-hack.so ./lmgrd -c /usr/synopsys/Synopsys.dat'
alias run_clean='sudo kill -9 $(lsof -i:27000 -t)'
alias run_env='cd /usr/synopsys/scl/2018.06/linux64/bin && LD_PRELOAD=./snpslmd-hack.so ./lmgrd -c /usr/synopsys/Synopsys.dat && cd ~'
alias run_pt='/usr/synopsys/pts/O-2018.06-SP1/bin/primetime'
alias run_leda_2014='export LEDA_PATH=$SynopsysRoot/leda/I-2014.03-SP1 && /usr/synopsys/leda/I-2014.03-SP1/amd64/bin/leda -sverilog +gui -translate_directive -uniquify +incdir+/usr/synopsys/syn/O-2018.06-SP1/dw/sim_ver/ -bbox bufg'
#alias run_leda_2007='export LEDA_PATH=$SynopsysRoot/leda/leda-2007.03 && /usr/synopsys/leda/leda-2007.03/linux/bin/leda'
alias run_fm='export SYNOPSYS=/usr/synopsys/fm/O-2018.06-SP1 && /usr/synopsys/fm/O-2018.06-SP1/linux64/fm/bin/formality'
alias run_dve='export DVE_HOME=$PATH:$SynopsysRoot/vcs/O-2018.09-SP2/gui/dve && /usr/synopsys/vcs/O-2018.09-SP2/gui/dve/bin/dve -full64'
alias run_vcs='/usr/synopsys/vcs/O-2018.09-SP2/bin/vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed' 
alias run_quartus='/usr/Quartus/quartus/bin/quartus' 
alias run_verdi='/usr/synopsys/verdi/Verdi_O-2018.09-SP2/bin/verdi' 
alias run_modelsim='/usr/Quartus/modelsim_ase/linuxaloem/vsim'
alias run_install='/usr/local/eda_tools/setup.sh -install_as_root'
alias run_spyglass='/usr/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME/bin/spyglass'
alias run_vivado='/tools/Xilinx/Vivado/2019.1/bin/vivado'
LOGTIME=$(date "+%Y-%m-%d %H:%M:%S")

sudo ip link set dev eth0 down
sudo ip link set dev eth0 address 00:00:00:00:00:00
sudo ip link set dev eth0 up

sudo rm -f /bin/sh
sudo ln -s /bin/bash /bin/sh




扫描二维码推送至手机访问。

版权声明:本文由我的FPGA发布,如需转载请注明出处。

本文链接:https://www.myfpga.cn/index.php/post/281.html

分享给朋友:

“(原创)使用基于WSL2的Ubuntu安装EDA工具 Synopsys2018 Leda PT VCS Verdi DVE FM SCL” 的相关文章

Ubuntu或Debian 安装Kali工具集

Ubuntu或Debian 安装Kali工具集

sudo su git clone https://github.com/LionSec/katoolin.git && cp katoolin/katoolin.py /usr/bin/katoolin ch...

有效部署阿里云DDNS+Python环境

有效部署阿里云DDNS+Python环境

第一步复制以下代码并生成api,代码转自CSDN,并经过优化修改请将代码保存为1.sh 然后使用sodu su 1.sh 运行代码,输入1后按回车键等待安装完成echo "请输入序号选择你要干啥:   输入1. 升级到Python 2.7...

Gi945/Fi945 BIOS 终极修改版 V1.6.7

Gi945/Fi945 BIOS 终极修改版 V1.6.7

点击直接下载:GI945.BIN另外附上原版有锁BIOSgi945有锁版.bin...

MW155R AR9331 刷机固件合集

MW155R AR9331 刷机固件合集

factory-to-ddwrt.binddwifi-ar71xx-generic-tl-wr710n-v1-squashfs-sysupgrade.binGECOOS_AP110T_QCA933X_5.6_2018102600.binlede-17.01.0-r3205-59508e3-ar71x...

MW788刷NR285G固件

MW788刷NR285G固件

netcore(NR285G).zip...

(挂机赚钱)任意Arm/X86架构Win/Linux安装猕猴桃CDN教程 树莓派 OrangePi 友善之臂 ArmCortex9

(挂机赚钱)任意Arm/X86架构Win/Linux安装猕猴桃CDN教程 树莓派 OrangePi 友善之臂 ArmCortex9

前言这应该是全网第一个任意Arm架构的猕猴桃安装教程,我已经安装实现了。想跑猕猴桃,点击右侧链接官网注册→, https://www.bdkjcdn.com/register X86平台X86  i386 Linux/Windows 等的部署请点击->宽带变现 每...